[sv-bc] RE: assertion system functions

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Wed Mar 04 2009 - 11:31:11 PST
Yes, as noted in

   http://www.eda-stds.org/svdb/view.php?id=1559
   http://www.eda-stds.org/svdb/view.php?id=2476

it's not clear to which types $countones can be applied, nor whether it can even be called outside of an assertion context.

-- Brad

________________________________
From: owner-sv-bc@eda.org [owner-sv-bc@eda.org] On Behalf Of Bresticker, Shalom [shalom.bresticker@intel.com]
Sent: Wednesday, March 04, 2009 9:18 AM
To: sv-bc@server.eda.org
Subject: [sv-bc] assertion system functions

Hi,

20.13 defines some assertion system functions.
Among them are

$onehot
$onehot0
$countones
$isunknown

The LRM implies that these can be used only within assertions.

Is this so?

Was this the intention?

Is there a good reason to limit them to assertions?

Thanks,
Shalom

Shalom Bresticker
Intel Jerusalem LAD DA
+972 2 589-6582
+972 54 721-1033



---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


--
This message has been scanned for viruses and
dangerous content by MailScanner<http://www.mailscanner.info/>, and is
believed to be clean.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Mar 4 11:32:59 2009

This archive was generated by hypermail 2.1.8 : Wed Mar 04 2009 - 11:33:37 PST