[sv-bc] assertion system functions

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Wed Mar 04 2009 - 09:18:05 PST
Hi,

20.13 defines some assertion system functions.
Among them are

$onehot
$onehot0
$countones
$isunknown

The LRM implies that these can be used only within assertions.

Is this so?

Was this the intention?

Is there a good reason to limit them to assertions?

Thanks,
Shalom

Shalom Bresticker
Intel Jerusalem LAD DA
+972 2 589-6582
+972 54 721-1033


---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Mar 4 09:19:26 2009

This archive was generated by hypermail 2.1.8 : Wed Mar 04 2009 - 09:20:18 PST