[sv-bc] Incorrect text in `define macro section

From: Surya Pratik Saha <spsaha_at_.....>
Date: Thu Feb 05 2009 - 01:54:34 PST
Hi,
Following text is written in LRM section "22.5 `define, `undef and 
`undefineall"

`MACRO2 (1, , 3) // argument b omitted, replaced by nothing
// expands to '$display(5,,,,"C");'

However, correct text should be:
`MACRO2 (1, , 3) // argument b omitted, replaced by nothing
// expands to '$display(1,,,,3);'

because, value of 'a' and 'c' are provided.

I have filed Mantis 2579 regarding this.

-- 
Regards
Surya




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Feb 5 01:56:25 2009

This archive was generated by hypermail 2.1.8 : Thu Feb 05 2009 - 01:57:16 PST