[sv-bc] Array of instance passed with modport

From: Surya Pratik Saha <spsaha_at_.....>
Date: Tue Dec 02 2008 - 03:10:30 PST
Hi,
I want to connect an array of instance with a module having array of 
interface port. But along with that, actually I want to connect modport 
there. How do I do that. If I write following case:

interface I(in1,in2,out);
    input  in1,in2;
    output reg out;
    modport m1(input in1,input in2,output out);
endinterface

module middle(I A[7:0]  ,output out1);
endmodule

module top(input [7:0]in1,in2,output [7:0]out,out1);
    I I1 [7:0] (in1,in2,out);
    middle m1(I1.m1,out1); // Is I1.m1 is valid hierarchical reference? 
Should it not require selection of array index
endmodule

Different simulators behave differently here, some pass, some fail due 
to not using selection of array index. If it needs a modport array, how 
do I declare that? I did not see anything in the LRM?

-- 
Regards
Surya




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Dec 2 03:11:30 2008

This archive was generated by hypermail 2.1.8 : Tue Dec 02 2008 - 03:12:12 PST