Re: [sv-bc] Modport expression examples

From: <jonathan.bromley_at_.....>
Date: Tue Dec 02 2008 - 01:21:29 PST
> >the modport expression .P(E) says "when a module
> >connects its port "portname" to this modport in 
> >some instance of interface I, the module's item 
> >portname.P should be connected not to the internal 
> >object P of the connected interface instance, but 
> >instead to the expression E in that instance".
> 
> This description suggests that there is an item
> portname.P inside the module, that is connected to E
> in the interface instance.  I don't view it that way.

Yes, my wording was a little careless.  I agree 
(or, at least, I think I agree: see later) that there
is no "portname.P" inside the connected module. 
I should have said something like

  any reference to portname.P inside the module 
  should denote not an item P in the interface
  instance, but the expression E in that instance.

This is not merely hair-splitting.  One of the things 
I most want to see in a future PAR is an effort to 
rewrite the whole interfaces clause so that it is 
unambiguous and complete.  Clarification of the intent
is an important first step.

There have been extensive discussions here and 
elsewhere concerning a module driving something 
in an interface through a modport item whose 
direction is output.  The general conclusion seems
to be that such a connection should be regarded as
a continuous assignment from the module to the
thing in the interface, although tools don't follow
this model at present.  For this to work, I believe,
it may in some cases be necessary to create an implicit 
variable portname.P inside the module.
-- 
Jonathan Bromley
Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, 
UK
Tel: +44 (0)1425 471223                   Email: 
jonathan.bromley@doulos.com
Fax: +44 (0)1425 471573                           Web: 
http://www.doulos.com

This message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Dec 2 01:22:50 2008

This archive was generated by hypermail 2.1.8 : Tue Dec 02 2008 - 01:23:40 PST