Re: [sv-bc] Query on defparam

From: Steven Sharp <sharp_at_.....>
Date: Thu Oct 23 2008 - 16:19:46 PDT
>The text is clear with respect to a generate block but how a defparam 
>can be specified inside an array of instance is not clear. Can you 
>please give some e.g. for my understanding.

The defparam could appear in the module instances of the array:

 module bottom;
 defparam top.size = 1;
 endmodule

 module top;
 parameter size = 8;
 bottom b[size:1]();
 endmodule

You are not allowed to instantiate an instance array, and then have
the instances in the array (or any instance under them in the hierarchy)
modify parameters outside the array.  That could result in contradictory
situations like this example where top.b[8] contains a defparam that
says that top.b[8] should never have been instantiated.

Steven Sharp
sharp@cadence.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Oct 23 16:25:28 2008

This archive was generated by hypermail 2.1.8 : Thu Oct 23 2008 - 16:28:30 PDT