RE: [sv-bc] query related with `timescale

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Aug 26 2008 - 03:58:48 PDT
That particular sentence refers specifically to the timeunit and
timeprecision declaration statements, not to the `timescale compiler
directive. Note that in that sentence, "timeunit" and "timeprecision"
are in Courier font and without spaces.

However, I think the testcase should still fail due to another
statement, "There shall be at most one time unit and one time precision
for any module, program, package, or interface definition or in any
compilation-unit scope." This refers to time unit and time precision
declarations in any way.

Shalom


> Should `timescale be consider as timeunit and timeprecision 
> declaration.??
> 
> Should following testcase pass
> 
> `timescale 1ns/1ns
> 
> timeunit 10ps;
> timeprecision 1fs;
> 
> module tmp();
> endmodule
> 
> According to LRM 19.10
> "The timeunit and timeprecision declarations can be repeated 
> as later items, but must
>   match the previous declaration within the current time scope."
> 
> As some tool is showing error while some passing the above testcase.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Aug 26 04:00:39 2008

This archive was generated by hypermail 2.1.8 : Tue Aug 26 2008 - 04:01:27 PDT