RE: [sv-bc] [sv-ec] module parameter assigned to specify parameter

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Sun Aug 24 2008 - 04:16:00 PDT
I filed this as Mantis 2466.

Shalom 


> One more key point is that Table 6-10 also says that a 
> specparam may be assigned a parameter.
> 
> Strictly speaking, the only way to explain all these in a 
> consistent way without changing them is to say that a 
> specparam may be assigned a parameter, but only if the 
> specparam is declared out a specify block.
> 
> However, I doubt that was the intent. More likely, no one 
> ever noticed the inconsistency.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Aug 24 04:17:35 2008

This archive was generated by hypermail 2.1.8 : Sun Aug 24 2008 - 04:18:19 PDT