RE: [sv-bc] Extern modules question

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Mon Jun 16 2008 - 07:05:22 PDT
Thanks. 
One thing that is confusing here is the reference to hierarchy, as $unit
is not exactly part of a hierarchy.

Shalom

> -----Original Message-----
> From: Gordon Vreugdenhil [mailto:gordonv@model.com] 
> Sent: Monday, June 16, 2008 5:02 PM
> To: Bresticker, Shalom
> Cc: sv-bc
> Subject: Re: [sv-bc] Extern modules question
> 
> I think (hope?) that the intention of this was really that 
> extern modules have normal lexical visibility.  I doubt that 
> the intent of the "only within the level of hierarchy in 
> which they were declared" phrase was to limit *lexical* 
> visibility; I expect that the intent there was to limit 
> *hierarchical* visibility.
> 
> So my answer to your question would be that a $unit extern 
> module declaration would be visible throughout the 
> compilation unit following normal lexical resolution (like a type).
> 
> Gord.
> 
> 
> Bresticker, Shalom wrote:
> > Hi,
> > 
> > 22.5 says, "Extern module declarations can appear at any 
> level of the 
> > instantiation hierarchy, but are visible only within the level of 
> > hierarchy in which they are declared."
> > 
> > If an extern module declaration appears in $unit, is it visible 
> > everywhere, like a regular module declaration, or only in that 
> > compilation-unit scope?
> > 
> > Thanks,
> > Shalom
> > 
> > Shalom Bresticker
> > Intel Jerusalem LAD DA
> > +972 2 589-6582
> > +972 54 721-1033
> > 
> > 
> ---------------------------------------------------------------------
> > Intel Israel (74) Limited
> > 
> > This e-mail and any attachments may contain confidential 
> material for 
> > the sole use of the intended recipient(s). Any review or 
> distribution 
> > by others is strictly prohibited. If you are not the intended 
> > recipient, please contact the sender and delete all copies.
> > 
> > 
> > --
> > This message has been scanned for viruses and dangerous content by 
> > *MailScanner* <http://www.mailscanner.info/>, and is believed to be 
> > clean.
> 
> --
> --------------------------------------------------------------------
> Gordon Vreugdenhil                                503-685-0808
> Model Technology (Mentor Graphics)                gordonv@model.com
> 
> 
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jun 16 07:07:33 2008

This archive was generated by hypermail 2.1.8 : Mon Jun 16 2008 - 07:07:54 PDT