RE: [sv-bc] function statement rules

From: Daniel Mlynek <daniel.mlynek_at_.....>
Date: Thu May 29 2008 - 04:40:53 PDT
I've reviewed proposal for 1336 and now I see that additional restriction
are given in function chapter, anyway I still think that quted by me in
previous email restrion isn't cleat mybe it should be removed becouse
rettriction defined in later tekst are ok.
 
Sorry for causing  confusion.
 
DANiel

  _____  

From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On
Behalf Of Daniel Mlynek
Sent: Thursday, May 29, 2008 1:32 PM
To: 'sv-bc'
Subject: [sv-bc] function statement rules



The latest restriction for function stmt in LRM is:

"- A The statements in the body of a function shall execute in one
simulation time unit; "

imho below fullfill this restriction while it should be forbidden - function
execution cannot be suspended and unsuspendede even in the same simulation
time unit (simulation time unit is about time advance )

module top;
function f;
    #0; $display("text");
endfunction
endmodule

 

DANiel


-- 
This message has been scanned for viruses and 
dangerous content by  <http://www.mailscanner.info/> MailScanner, and is 
believed to be clean. 

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu May 29 04:42:25 2008

This archive was generated by hypermail 2.1.8 : Thu May 29 2008 - 04:43:17 PDT