[sv-bc] function statement rules

From: Daniel Mlynek <daniel.mlynek_at_.....>
Date: Thu May 29 2008 - 04:32:22 PDT
The latest restriction for function stmt in LRM is:

"- A The statements in the body of a function shall execute in one
simulation time unit; "

imho below fullfill this restriction while it should be forbidden - function
execution cannot be suspended and unsuspendede even in the same simulation
time unit (simulation time unit is about time advance )

module top;
function f;
    #0; $display("text");
endfunction
endmodule

 

DANiel


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu May 29 04:34:21 2008

This archive was generated by hypermail 2.1.8 : Thu May 29 2008 - 04:37:14 PDT