[sv-bc] Agenda: March 17 SV-BC Meeting

From: Maidment, Matthew R <matthew.r.maidment_at_.....>
Date: Fri Mar 14 2008 - 01:15:44 PDT
SV-BC Meeting
Date: Monday, March 17, 2008
Time: 09:00am-11:00am PDT

Toll Free Dial In Number: 1-866-675-1568
International Access/Caller Paid Dial In Number: 1-281-540-4972
PARTICIPANT CODE: 2125837662

Agenda

+ Review IEEE patent policy
  http://standards.ieee.org/board/pat/pat-slideset.ppt
 
+ Review Minutes of Previous Meetings
  http://www.eda.org/sv-bc/minutes/sv-bc_08_03_03.txt

+ Final March Meeting Date
  Need to choose alternate date for final March meeting.
  Proposed dates: Tue Mar 25 or Wed Mar 26 (9-11am PDT)

+ Issues

  The following have proposals:

  2008 (http://www.eda.org/svdb/view.php?id=2008)
  1111 (http://www.eda.org/svdb/view.php?id=1111)
  1465 (http://www.eda.org/svdb/view.php?id=1465)
  1769 (http://www.eda.org/svdb/view.php?id=1769)
  1829 (http://www.eda.org/svdb/view.php?id=1829)
 
  Please review before the meeting.

  Other issues:

  2106 (http://www.eda.org/svdb/view.php?id=2106)  
    John Havlicek has some feedback on the proposal:
    http://www.eda-stds.org/sv-bc/hm/8354.html

  2089 (http://www.eda.org/svdb/view.php?id=2089)
    Lots of discussions and feedback from the SV-AC.  What's next?
  1809 (http://www.eda.org/svdb/view.php?id=1809)
    Francoise may make another attempt
  2251 (http://www.eda.org/svdb/view.php?id=2251)
    Pending readiness
  2235 (http://www.eda.org/svdb/view.php?id=2235) 
    Gord does not have time to generate proposal
  2269 (http://www.eda.org/svdb/view.php?id=2269) 
    1800 WG has granted permission to address by EOMarch.  Any takers?

JEITA

  1826 - Annex B Add keyword list by LRM version             - Resolved
  1827 - 20.3.1 Update the OS Reference                      - Resolved
  1828 - 9.2.2.3, 9.2.2.4 should/can and mandatory statements- Resolved
  1829 - 6.8 usually logic [31:0] would be little endian.    - Proposal
Ready
  1832 - path rule/scope rule is not clearly described here. - Assigned
to Gord

Ballot Issues
  675  - Packed union shall not be restricted to equal length- feedback
         Apr 15, 2005 - closed with resolution of "no change required".
         SV-BC resolved with no action Jan 21, 2008.
  676  - Add support of unconstraint arrays                  - feedback
         Apr 15, 2005 - closed with resolution of "no change required".
         Reopened for 2008 and now in the feedback state.
  678  - Variables shall be allowed for slicing vectors.     - feedback
         Apr 15, 2005 - closed with resolution of "no change required".
         Reopened for 2008 and now in the feedback state.
  697  - file IO tasks and new SV data types                 - feedback
         May 10, 2005 - closed with resolution of "won't fix".
         Reopened for 2008 and now in the feedback state.
  677  - unique/priority violation should be errors          - Resolved
         Apr 15, 2005 - closed with resolution of "no change required".
         Reopened for 2008 and now in the assigned state.
  698  - No Definition of Error and Warning                  - assigned
(Stu)
         May 10, 2005 - closed with resolution of "won't fix".
         Reopened for 2008 and now in the feedback state.



Action Items

Completed
03/03/2008 Tom update proposal for SVDB 2008 and add clarifying sentence
           per Doug
03/03/2008 Tom update proposal for SVDB 2008 with Shalom's amendments.
03/03/2008 Tom update 2131 per violation terminology introduced by SVDB
2008
03/03/2008 Doug work with Tom on clarification of simulation semantics
in 
           SVDB 2008 
03/03/2008 Doug's comments for SVDB 1769 need follow-up from SV-AC.
Doug 
           will send follow-up e-mail.
03/03/2008 Matt to submit new e-mail vote for 1769 once a new proposal 
           from SV-AC has arrived.
03/03/2008 Matt add agenda item to determine date of final March SV-BC 
           meeting: Tue, Mar 25 or Wed, Mar 26.
03/03/2008 Matt add SVDB 1111 to e-mail ballot
03/03/2008 Matt change examples in 1829 to use simpler variable names
           and add to e-mail vote.
03/03/2008 Shalom to send e-mail when proposal for 1465 complete.


Pending
01/09/2006 Shalom file new issure related to SVDB 1254 and include
example
03/27/2006 Cliff post examples demonstrating the need for 0-1 wildcard 
           to justify further action on SVDB 99

           Other ideas: elsex, defaultx, ifx, alwaysx, initialx,
           Question: what about X expression in index of Vector or
           array expressions (a[i] where i === 'X).  Cliff to pursue
further
           action.
04/10/2006 Steven to create proposal for SVDB 1386
04/10/2006 Steven to create proposal for SVDB 1078
06/19/2006 Francoise check with SV-CC regariding suitability of data
read API
           to address debugging of "dynamic data" in lieu of vcd
extensions.
07/10/2006 Steve add bugnote to 1043 about specifics for resolution
07/31/2006 Steve add bug note to 1481 with details for a clearer
proposal
09/25/2006 Matt add SVDB entry to request capability to packages: 
           import/export & non-exported (local) package declarations.
10/23/2006 Matt send example of use of forward typedef.
12/04/2006 Shalom present compelling example of `begin_define --
`end_define
12/18/2006 Cliff open Mantis item about 'predefault' with compelling
examples
01/08/2007 Vendors to describe interpretation of a path when there are
           multiple drivers involved and share test cases on reflector.
03/05/2007 ALL look at SV-AC items and send Matt a note if you require
more
           information to address them.  Matt will work with SV-AC to
get
           additional information. 
04/26/2007 All: Revisit concept of memory in Draft 3

           Affects PLI backward compatibility and readmem system tasks.
           After some discussion, ambivalence gave way to agreement that
           arrays and memories as covered in 7.4 need to be revisited 
           for clarification.  SVDB 1362 covers this issue. Dave will
           start working on it.

06/11/2007 Stu reword proposal for SVDB 1111
06/11/2007 Mark attempt to define 'variation' to aid resolution of SVDB
1850
06/25/2007 Gord add new SVDB entry and proposal to address expression
sizing
           issues for literals of unspecified widths.
06/25/2007 Steve look into ability to use 1800 types with old-style port
decls
08/06/2007 Steven to record concerns about issues related to issue 1935.
10/01/2007 Shalom to update SVDB 1747 based on feedback.
10/29/2007 Steven to work on new proposal for SVDB 1750
12/03/2007 Review editorial issues submitted by Shalom
02/04/2008 Shalom will follow-up with Greg Jaxon on 2269
02/18/2008 Tom: Update 1345 by replacing 'warning' with 'violation'
03/03/2008 SV-BC review Draft 5 to ensure SVDB 2008's "violation report"

           added correctly
03/03/2008 Matt send mail on outcome of vote on SVDB 2089

Schedule Reminder
 02/28/08 SV-AC freezes (merge, champions issues,  new syntax response
ok)
 03/31/08 SV-CC freeze.  All activity freezes.
 05/29/08 Draft 5 of LRM available

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Fri Mar 14 01:18:50 2008

This archive was generated by hypermail 2.1.8 : Fri Mar 14 2008 - 01:19:12 PDT