[sv-bc] RE: [sv-ec] Upward referencing rules question

From: Rich, Dave <Dave_Rich_at_.....>
Date: Mon Feb 11 2008 - 22:51:02 PST
(This is an sv-bc issue)

No, searching has always been upwards in the hierarchy; hence the name
of the section describing this feature has always been called "upwards
name referencing"

The module a1 in the example is not on an upwards path to the root of
the hierarchy from the reference.

Dave


> -----Original Message-----
> From: Steven Sharp [mailto:sharp@cadence.com]
> Sent: Monday, February 11, 2008 4:22 PM
> To: Rich, Dave; shalom.bresticker@intel.com; sv-ec@eda.org;
> danielm@aldec.com.pl
> Subject: RE: [sv-ec] Upward referencing rules question
> 
> 
> >From: "danielm" <danielm@aldec.com.pl>
> 
> >So if this reference found as first wouldn't be a variable - then
search
> >should proceed? below example shold work and print '5' ? :
> 
> Yes.  It must work this way, because this is how Verilog has always
> worked for this case.
> 
> 
> Steven Sharp
> sharp@cadence.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Feb 11 22:51:28 2008

This archive was generated by hypermail 2.1.8 : Mon Feb 11 2008 - 22:51:41 PST