RE: [sv-bc] Re: [sv-ec] task/function actuals for mode "ref"

From: Arturo Salz <Arturo.Salz_at_.....>
Date: Wed Jan 16 2008 - 18:05:49 PST
It probably wouldn't hurt to add that constants are also disallowed as
ref arguments.

	Arturo

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Gordon Vreugdenhil
Sent: Wednesday, January 16, 2008 3:54 PM
To: Steven Sharp
Cc: Neil.Korpusik@sun.com; shalom.bresticker@intel.com; sv-bc@eda.org;
sv-ec@eda.org
Subject: Re: [sv-bc] Re: [sv-ec] task/function actuals for mode "ref"



Steven Sharp wrote:
> The proposal looks reasonable to me.
> 
> The phrase "a member select of a class property" might be
misinterpreted
> as meaning that you apply a member select to a class property.  I
assume
> that the intent was a member select whose result is a class property
(i.e.
> not a class method).  It might be better to just say "a class
property"
> instead of "a member select of a class property."  

Sigh.  I probably need to just generalize this all properly since
"a class property" has the same issues as "a variable".  The real
restriction is a recursive definition involving variables,
properties, selects and types.  It is really ugly to write that
down in precise terms without it becoming a huge definition.

 >  Note also that it is
> OK to pass a class property from inside the class, without using an
> explicit member select to access it (though you could argue that it is
> an implicit member select via 'this').  As far as I can see, if there
is
> any way to reference a class property besides a member select, it is
still
> OK to pass it.

Yup.  See my above comments.  Even if you have:
    some_property.some_field_of_type_class.some_other_property
it is still Ok.  The entire definition is recursive on
the fundamental issues -- you can't end up picking off "part of"
a packed thing.



I am very busy right now on multiple fronts.  I'm not going
to try to rewrite this unless we're sure that we can get this
into the 2008 spec yet.

Gord.
-- 
--------------------------------------------------------------------
Gordon Vreugdenhil                                503-685-0808
Model Technology (Mentor Graphics)                gordonv@model.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Jan 16 18:04:45 2008

This archive was generated by hypermail 2.1.8 : Wed Jan 16 2008 - 18:06:30 PST