[sv-bc] RE: [sv-ec] Task/Function ANSI style declaration with non-ANSI port

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Sun Dec 16 2007 - 21:43:30 PST
That's Mantis issue 1169

    http://www.eda-stds.org/svdb/view.php?id=1169 

which was ETF issue 227

    http://www.boyd.com/1364_btf/report/full_pr/227.html

-- Brad


-----Original Message-----
From: owner-sv-ec@eda.org [mailto:owner-sv-ec@eda.org] On Behalf Of
Surya Pratik Saha
Sent: Sunday, December 16, 2007 9:36 PM
To: sv-ec@eda.org; sv-bc@eda.org
Subject: [sv-ec] Task/Function ANSI style declaration with non-ANSI port

Hi,
For non-ANSI style port declaration of task/function, we know a port can
be declared with a direction first, then it can declared with its data
type. So following case is legal.

task mytask;
  input d;
  reg d;
endtask

Now is it possible to declare the port with direction in ANSI style, but
explicit data declaration later. Here is the e.g.:
task mytask (input d);
  reg d;
endtask

As per Verilog 1364-2005 LRM it is mentioned for ANSI style module port
declaration that ANSI style port declaration should be complete. I am
not sure whether it is applicable to task/function also, as LRM is
silent on that. Most of the tools however passes the case. Can someone
comment on that?

-- 
Regards
Surya





-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Dec 16 21:43:53 2007

This archive was generated by hypermail 2.1.8 : Sun Dec 16 2007 - 21:44:03 PST