[sv-bc] Task/Function ANSI style declaration with non-ANSI port

From: Surya Pratik Saha <spsaha_at_.....>
Date: Sun Dec 16 2007 - 21:35:59 PST
Hi,
For non-ANSI style port declaration of task/function, we know a port can 
be declared with a direction first, then it can declared with its data 
type. So following case is legal.

task mytask;
  input d;
  reg d;
endtask

Now is it possible to declare the port with direction in ANSI style, but 
explicit data declaration later. Here is the e.g.:
task mytask (input d);
  reg d;
endtask

As per Verilog 1364-2005 LRM it is mentioned for ANSI style module port 
declaration that ANSI style port declaration should be complete. I am 
not sure whether it is applicable to task/function also, as LRM is 
silent on that. Most of the tools however passes the case. Can someone 
comment on that?

-- 
Regards
Surya





-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Dec 16 21:37:29 2007

This archive was generated by hypermail 2.1.8 : Sun Dec 16 2007 - 21:37:49 PST