[sv-bc] Mantis 2106

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Thu Dec 06 2007 - 03:54:43 PST
Hi,

I had sent this to Dave Rich for consultation, but Dave does not seem to
be available and time is running out, so I am sending this to the entire
list for feedback.

I took an action item to work on the second half of Mantis 2106, based
on my unhappiness with the sentence and paragraph organization of 6.21.
The attached document is a draft revision. Working on the order caused
me to alter text as well, with intent to not change technical content.
Please review. Ignore the colors.

In addition, I had some questions, whose answers could cause further
changes: 

1. "Data declared in an automatic task, function, or block have the
lifetime of the call or activation and a local scope. This is roughly
equivalent to a C automatic variable." 
and
"Data declared in a static task, function, or block default to a static
lifetime and a local scope."

I'm a little confused. Which blocks are static and which blocks are
automatic?

2. "Variables declared inside a module, interface or program, but
outside a task, process, or function, are local in scope and static in
lifetime (exist for the lifetime of the module, interface or program)."

Earlier, static is defined as "exist for the whole simulation".

I think the parenthesized phrase should be deleted. It seems both
redundant and wrong.


Finally, I think the last sentence in 6.18, in the first part of 2106,
"It shall be an error if the type_identifier does not resolve to data
type, or basic data type if specified," has a grammar problem. Should it
be "a data type"? 

In any case, I'm not sure of the meaning. What are examples of a
type_identifier resolving to a data type and to a basic data type?

Thanks,
Shalom
 <<2106.doc>> 
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.


Received on Thu Dec 6 03:56:11 2007

This archive was generated by hypermail 2.1.8 : Thu Dec 06 2007 - 03:56:37 PST