RE: [sv-bc] Re: 1619 suggestions

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Thu Dec 06 2007 - 02:42:43 PST
Stu,

The disadvantage of this is that it largely neutralizes the main benefit
of defaults, which is to avoid having to list their connections, though
it does retain the advantage that at least you do not have to know what
the default value is.

However, I do agree it would be nice in addition to allow explicitly
connecting a default in this way. I still think the user should be able
to choose whether or not he wants to allow .* to infer default
connections. I also agree it should be an error in the cases you
mentioned. Because time is running out, I would not like to hold up 1619
for this, though.

Regards,
Shalom


> The compromise is to not have .* automatically infer an 
> unconnected input port connection when the port has a 
> default.  Instead, when .* is used require that the user 
> specifically state that they want to use the default port 
> using .<input_port_name>(default) .
> 
> The advantage of having to explicitly state when the default 
> input value should be used is that .* retains all of the 
> checking that Cliff is concerned about.  The disadvantage is 
> that if the end-user of an IP model is using .* inferred 
> connections and the IP vendor adds a new input port with a 
> default value, existing end-user that are using .* to connect 
> to the IP model will suddenly fail to compile.  That defeats 
> the idea of being able to add an input port without breaking 
> existing designs, but only for .*.  Any other style of 
> connecting to the IP model will automatically use the new 
> input port's default value.  Note that .* -- and only .* -- 
> would also break if the IP vendor added a new output port and 
> there was no matching signal at the netlist level.
> 
> Sadly, since .name inferred connections will already infer 
> unconnected ports, I do not think it makes sense to require 
> .name to explicitly say when to use a default input value.  
> However, I think .name and fully named port connections 
> should be allowed to also specify .<input_port_name>(default) .
> 
> There also needs to be a rule for what if 
> .<input_port_name>(default) is specified and the port does 
> not have a default value, or the port is not an input port.  
> I suggest it be an error.
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Dec 6 02:43:11 2007

This archive was generated by hypermail 2.1.8 : Thu Dec 06 2007 - 02:43:20 PST