[sv-bc] Agenda: Oct 29 SV-BC Meeting

From: Maidment, Matthew R <matthew.r.maidment_at_.....>
Date: Sun Oct 28 2007 - 23:19:04 PDT
SV-BC Meeting
Date: Monday, October 29, 2007
Time: 09:00am-11:00am PDT

Toll Free Dial In Number: (888)635-9997
International Access/Caller Paid Dial In Number: (763)315-6815
PARTICIPANT CODE: 53904

Agenda

+ Review IEEE patent policy
  http://standards.ieee.org/board/pat/pat-slideset.ppt
 
+ Review Minutes of Previous Meetings

  http://www.eda-stds.org/sv-bc/minutes/sv-bc_07_10_15.txt

+ Issues to be addressed until December 15

Need to close on items to be addressed.  Need to approve
the list.  Here are current items:

675	1800-2005 Ballot Issue
676	1800-2005 Ballot Issue
677	1800-2005 Ballot Issue
678	1800-2005 Ballot Issue
697	1800-2005 Ballot Issue
698	1800-2005 Ballot Issue
966	Shalom
1348	Gord
1350	Shalom
1360	Gord
1429	Gord
1537	Shalom
1600	Gord
1602	Gord
1610	Gord
1741	Gord
1805	Brad
1826	JEITA
1827	JEITA
1828	JEITA
1829	JEITA
1832	JEITA
1850	Gord
1982	Shalom
2008	Gord
2037	Don

+ E-mail Vote
  
  Review results of recent ballot

  http://www.eda.org/sv-bc/hm/7203.html

+ Issues

  1212 (http://www.eda.org/svdb/view.php?id=1212)
    Proposal
  1280 (http://www.eda.org/svdb/view.php?id=1280)
    Updated per Champions' feedback
  1444 (http://www.eda.org/svdb/view.php?id=1444)
    Updated per Champions' feedback
  1340 (http://www.eda.org/svdb/view.php?id=1340)
    Review Champions' feedback

Action Items
Completed
10/15/2007 Tom send note to reflectors soliciting further feedback on
1619.
10/15/2007 Brad to update proposal for SVDB 907.   

Pending
01/09/2006 Shalom file new issure related to SVDB 1254 and include
example
03/27/2006 Cliff post examples demonstrating the need for 0-1 wildcard 
           to justify further action on SVDB 99

           Other ideas: elsex, defaultx, ifx, alwaysx, initialx,
           Question: what about X expression in index of Vector or
           array expressions (a[i] where i === 'X).  Cliff to pursue
further
           action.
04/10/2006 Steven to create proposal for SVDB 1386
04/10/2006 Steven to create proposal for SVDB 1078
06/19/2006 Francoise check with SV-CC regariding suitability of data
read API
           to address debugging of "dynamic data" in lieu of vcd
extensions.
07/10/2006 Steve add bugnote to 1043 about specifics for resolution
07/31/2006 Steve add bug note to 1481 with details for a clearer
proposal
09/25/2006 Matt add SVDB entry to request capability to packages: 
           import/export & non-exported (local) package declarations.
10/23/2006 Matt send example of use of forward typedef.
12/04/2006 Shalom present compelling example of `begin_define --
`end_define
12/18/2006 Cliff open Mantis item about 'predefault' with compelling
examples
01/08/2007 Vendors to describe interpretation of a path when there are
           multiple drivers involved and share test cases on reflector.
03/05/2007 ALL look at SV-AC items and send Matt a note if you require
more
           information to address them.  Matt will work with SV-AC to
get
           additional information. 
03/19/2007 Cliff send e-mail to Karen regarding SVDB account
04/26/2007 All: Revisit concept of memory in Draft 3

           Affects PLI backward compatibility and readmem system tasks.
           After some discussion, ambivalence gave way to agreement that
           arrays and memories as covered in 7.4 need to be revisited 
           for clarification.  SVDB 1362 covers this issue. Dave will
           start working on it.

06/11/2007 Stu reword proposal for SVDB 1111
06/11/2007 Matt notify SV-AC to update 1641 to system task/function
section
06/11/2007 Mark attempt to define 'variation' in context of resolving
SVDB 1850
06/25/2007 Gord add new SVDB entry and proposal to address expression
sizing
           issues for literals of unspecified widths.
06/25/2007 Steve look into ability to use 1800 types with old-style port
decls
08/06/2007 Steven to record concerns about issues related to issue 1935.
09/06/2007 Stu to write proposal for SVDB 1826
09/17/2007 Shalom to update proposal for SVDB 1863
10/01/2007 Shalom to update SVDB 1747 based on feedback.
10/15/2007 Matt to consolidate and prioritize issue lists (including
those 
           from Cliff and Shalom and send to reflector.
10/15/2007 Shalom pursue further refinement of SVDB 1348.

Schedule Reminder
 11/12/07 Committees must open active Mantis items that they are going
          to complete for this release.  They may not work on any item
          not on in this list. 
 12/15/07 SV-BC and SV-EC must complete all items from their Mantis
list.
          Past this date they are only authorized to work on merge,
          editing and champions issues.
 02/15/08 SV-CC must complete all items from its Mantis list.  Past this

          date they are only authorized to work on merge, editing and 
          champions issues.
 02/28/08 SV-AC freezes.  It does not get leeway for merge, editing and 
          champions issues.  

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Oct 28 23:19:25 2007

This archive was generated by hypermail 2.1.8 : Sun Oct 28 2007 - 23:19:40 PDT