[sv-bc] genvars

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Sat Oct 27 2007 - 23:50:59 PDT
BNF footnote 31 says,

31) A genvar_identifier shall be legal in a constant_primary only within
a genvar_expression.

genvar_expression is connected to the control scheme of the generate
for-loop, but not to the generate block body.

I think the idea was that inside the loop body, you have the implicit
localparam declaration, so a reference to the genvar identifier name is
really a local parameter identifier and not to the genvar identifier,
but still the footnote is confusing.

Shalom

Shalom Bresticker
Intel Jerusalem LAD DA
+972 2 589-6852
+972 54 721-1033

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sat Oct 27 23:56:32 2007

This archive was generated by hypermail 2.1.8 : Sat Oct 27 2007 - 23:57:08 PDT