RE: [sv-bc] E-mail Vote: Respond by 8am PDT, Monday, October 29 - 1573

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Sat Oct 27 2007 - 20:07:46 PDT
Forcing port collapsing would not be backward compatible.

> If there is
> a desire to force implementations to coerce ports when possible, then
> there should be text saying that port collapsing must be done whenever
> possible (and clarifying some of the cases where it may not be clear
> whether it is possible). 

-- Brad

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Rich, Dave
Sent: Saturday, October 27, 2007 10:00 AM
To: Steven Sharp; sv-bc@eda.org
Subject: RE: [sv-bc] E-mail Vote: Respond by 8am PDT, Monday, October 29
- 1573

> >>
[DR]
Why don't we just say that port collapsing removes the port by merging
the connecting nets into a single net object, effectively removing the
significance of the port direction?


> >> SVDB 1573 ___Yes   _X_No
> >> http://www.eda.org/svdb/view.php?id=1573
> 
> I have a problem with defining what it means for a port to be "used as
an
> output (input)".  That would presumably be defining the algorithm used
to
> detect such a misdeclared port and coerce it.  Since I am not aware of
any
> implementation that actually uses such an algorithm for this, I have a
> problem with claiming anything about it.
> 
> I think that this sentence should describe what actually happens,
which
> is something like "Because of the port collapsing described in
22.3.3.7,
> ports declared as input or output may be coerced to inout."  If there
is
> a desire to force implementations to coerce ports when possible, then
> there should be text saying that port collapsing must be done whenever
> possible (and clarifying some of the cases where it may not be clear
> whether it is possible).
> 
> Also, this is independent of the original question of whether it is
legal
> to assign to an input port.  Even if the port is not coerced, and
remains
> an input port, it is still legal to assign to it.  Connecting
something
> to the inside of an input port just creates a continuous assignment to
it
> from the expression on the outside.  For a variable, that prevents any
> other
> assignments to it.  But for a net, multiple continuous assignments are
> perfectly legal.  The resolved value won't be visible on the other
side of
> the input port because of the unidirectional nature of the continuous
> assignment.  That is what makes it an input port.
> 


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sat Oct 27 20:08:21 2007

This archive was generated by hypermail 2.1.8 : Sat Oct 27 2007 - 20:08:51 PDT