Re: [sv-bc] parameter assignments

From: Greg Jaxon <Greg.Jaxon_at_.....>
Date: Wed Oct 24 2007 - 16:11:29 PDT
Bresticker, Shalom wrote:
> Hi,
> 
> In Draft 4, 10.8 says that the following are assignment-like contexts:
> 
> — For a parameter with an explicit type declaration:
> 
>       — A parameter value assignment in a module, interface, program, or
>       class
>       — A parameter value override in the instantiation of a module,
>       interface, or program
>       — A parameter value override in the instantiation of a class or in
>       the left-hand side of a class
>       scope operator
> 
> And then at the end:
> 
> No other contexts shall be considered assignment-like contexts. In
> particular, none of the following shall be
> considered assignment-like contexts:
> 
>       — A static cast
>       — A default correspondence between an expression in an assignment
>       pattern and a field or element in a
>       data object or data value
>       — A port expression in a module, interface, or program declaration
>       — The passing of a value to a subroutine***** ref* port
>       — A port connection to an***** inout* or***** ref* port of a
>       module, interface, or program
> 
> Should the second list include parameter assignments and overrides for
> parameters without type declarations? In that case, the parameter gets
> the type and value of what is assigned to it.

No, it should not, because this list of contexts includes only situations
which HAVE a specific type to impart to the "rhs" of the implied assignment.

However, parameter assignments can also occur in packages and should
be included in that sublist - or the wording should say "anywhere a
parameter or localparam assignment can appear.

> In the second case, the value expression is calculated as self-determined.
> In the first case, the value expression is context-determined, with the
> operands being size-extended to the size declared in the parameter type
> declaration, where that size can itself be a function of other
> parameters? (I'm thinking of the case where the parameter is declared
> with a parameterized size.)

Assignment-like contexts do not capture every "context-determining" setting,
and they only affect '{} assignment-patterns.

Greg

> 
> Thanks,
> Shalom


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Oct 24 16:11:59 2007

This archive was generated by hypermail 2.1.8 : Wed Oct 24 2007 - 16:12:20 PDT