[sv-bc] parameter assignments

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Wed Oct 24 2007 - 02:45:20 PDT
Hi,

In Draft 4, 10.8 says that the following are assignment-like contexts:

- For a parameter with an explicit type declaration:
	- A parameter value assignment in a module, interface, program,
or class
	- A parameter value override in the instantiation of a module,
interface, or program
	- A parameter value override in the instantiation of a class or
in the left-hand side of a class
	scope operator

And then at the end:

No other contexts shall be considered assignment-like contexts. In
particular, none of the following shall be
considered assignment-like contexts:
	- A static cast
	- A default correspondence between an expression in an
assignment pattern and a field or element in a
	data object or data value
	- A port expression in a module, interface, or program
declaration
	- The passing of a value to a subroutine ref port
	- A port connection to an inout or ref port of a module,
interface, or program

Should the second list include parameter assignments and overrides for
parameters without type declarations? In that case, the parameter gets
the type and value of what is assigned to it.

In the second case, the value expression is calculated as
self-determined.
In the first case, the value expression is context-determined, with the
operands being size-extended to the size declared in the parameter type
declaration, where that size can itself be a function of other
parameters? (I'm thinking of the case where the parameter is declared
with a parameterized size.)

Thanks,
Shalom

Shalom Bresticker
Intel Jerusalem LAD DA
+972 2 589-6852
+972 54 721-1033

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Oct 24 02:46:23 2007

This archive was generated by hypermail 2.1.8 : Wed Oct 24 2007 - 02:46:52 PDT