[sv-bc] SVDB 1619 Examples

From: Clifford E. Cummings <cliffc_at_.....>
Date: Sun Oct 14 2007 - 16:22:41 PDT
Hi, All -

Attached is a tar-file with three 2-flop pipeline models.

pipe1.v has reset going to the second flop but not the first. Synthesizes fine.
pipe2.v has different resets going to the different flops. The first 
flop reset is internally tied through a continuous assignment to a 
variable initialized in the declaration (the closest I can get to 
1619 with current coding styles) while the second reset comes in 
through a port. Try synthesizing that model and tell me what you get 
(I used DC and warnings about ignored initializations and both flops 
disappear).
pipe3.v is the 1619 proposed equivalent to pipe2.v

This is why I am concerned.

Regards - Cliff

At 12:44 PM 10/14/2007, Jonathan Bromley wrote:
>Re. 1619 (defaults on module inputs):  Cliff said
>
> > If Synopsys supports this in synthesis, then
> > other tools could be shamed into supporting
> > this feature.
>
>I fear the boot is somewhat on the other foot.  For
>some time Synopsys DC was the only synth tool I know
>about that didn't correctly support VHDL's default
>inputs on modules (it accepted the syntax, but
>defaulted the inputs to zero regardless of the
>specified value); it's fine nowadays, though.
>All the FPGA-oriented tools have supported VHDL
>default inputs correctly since forever.  This
>is old, well-cooked technology.
>
> > There are other synthesis tools, like Synplicity,
> > that ignore initial blocks and hence initial
> > assignments
>
>Surely the default is a constant_expression, and so
>no "initial" block is implied or needed?
>--
>Jonathan Bromley, Consultant
>
>DOULOS - Developing Design Know-how
>VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services
>
>Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
>Tel: +44 (0)1425 471223                   Email: jonathan.bromley@doulos.com
>Fax: +44 (0)1425 471573                           Web: http://www.doulos.com
>
>The contents of this message may contain personal views which
>are not the views of Doulos Ltd., unless specifically stated.

----------------------------------------------------
Cliff Cummings - Sunburst Design, Inc.
14314 SW Allen Blvd., PMB 501, Beaverton, OR 97005
Phone: 503-641-8446 / FAX: 503-641-8486
cliffc@sunburst-design.com / www.sunburst-design.com
Expert Verilog, SystemVerilog, Synthesis and Verification Training

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.



Received on Sun Oct 14 16:23:36 2007

This archive was generated by hypermail 2.1.8 : Sun Oct 14 2007 - 16:23:52 PDT