RE: [sv-bc] E-mail Ballot: Respond by Oct 14, 2007 8am PDT

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Sun Oct 14 2007 - 04:59:12 PDT
Cliff wrote on Mantis 1464:

SVDB 1464 No

As long as we are making corrections to the example, the "assumed to be
instantiated"
module is also wrong because the matching internal bus declarations are
also required.

I would vote yes if the proposed change is modified as follows:

TO

The following example demonstrates the usage of extern module
declarations.

extern module m (a,b,c,d);
extern module a #(parameter size= 8, parameter type TP = logic [7:0])
(input [size:0] a, output TP b);

module top ();
wire [8:0] a;
logic [7:0] b;
wire c, d;
m mm (.*);
a aa (.*);
endmodule

Modules m and a are then assumed to be instantiated as follows:

module top ();
wire [8:0] a;
logic [7:0] b;
m mm (a,b,c,d);
a aa (a,b);
endmodule 


[SB] I agree and will make that change.

Shalom
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Oct 14 04:59:31 2007

This archive was generated by hypermail 2.1.8 : Sun Oct 14 2007 - 04:59:39 PDT