[sv-bc] Indexed part-select of one-bit vector (11.5.1)

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Thu Oct 04 2007 - 09:46:49 PDT
The description in 11.5.1 regarding indexed part-selects is unclear for
the following example --

  module test( input i, output reg [1:1] o );
    always @* o[i+:2] = 2'b10;
  endmodule

because the range of 'o' is neither ascending nor descending.

Pointed out to me by Greg Jaxon.

-- Brad





-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Oct 4 09:55:57 2007

This archive was generated by hypermail 2.1.8 : Thu Oct 04 2007 - 09:56:21 PDT