RE: [sv-bc] RE: E-mail Ballot: Respond by Sun Sep 16 8am PDT

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Sep 11 2007 - 00:34:36 PDT
Tom,

I don't think that 'executes identically' is the same.

For me, that phrase means 'executes at the same time and in the same
way'.

It does not necessarily mean that they have the same restrictions on
what can appear on the right-hand and left-hand sides, for example. That
is not part of the execution semantics.

It certainly was not clear to Doug Warmke in
http://www.eda-stds.org/sv-bc/hm/1878.html .

Shalom 

> -----Original Message-----
> From: owner-sv-bc@server.eda.org 
> [mailto:owner-sv-bc@server.eda.org] On Behalf Of Bresticker, Shalom
> Sent: Tuesday, September 11, 2007 10:22 AM
> To: Alsop, Thomas R
> Cc: sv-bc@server.eda-stds.org
> Subject: [sv-bc] RE: E-mail Ballot: Respond by Sun Sep 16 8am PDT
> 
> I think you meant 1468.
> 1473 is the 1step issue.
> 
> Shalom 
> 
> > Yes on everything but 1473.  See comments below. Thanks, -Tom
> > 
> 
> > SVDB 1468 _X_Yes   ___No  
> > http://www.eda.org/svdb/view.php?id=1468
> > 
> > SVDB 1473 ___Yes   _X_No  
> > http://www.eda.org/svdb/view.php?id=1468
> > talsop - I don't see any difference in the new comments from the 
> > comment that the always_latch "executes identically" to the 
> > always_latch.  In the always_comb clause is states "The variables 
> > written on the left-hand side of assignments shall not be 
> written to 
> > by any other process." And yet we are adding this _and_ 
> including the 
> > statement "from the contents of all called function".  
> Isn't this true 
> > for always_comb too?  From the emails threads this appears to be 
> > legacy comments.  I think "executes identically" is good enough.
> ---------------------------------------------------------------------
> Intel Israel (74) Limited
> 
> This e-mail and any attachments may contain confidential 
> material for the sole use of the intended recipient(s). Any 
> review or distribution by others is strictly prohibited. If 
> you are not the intended recipient, please contact the sender 
> and delete all copies.
> 
> --
> This message has been scanned for viruses and dangerous 
> content by MailScanner, and is believed to be clean.
> 
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Sep 11 00:35:05 2007

This archive was generated by hypermail 2.1.8 : Tue Sep 11 2007 - 00:35:18 PDT