[sv-bc] query regarding bind

From: Goutam Ghosh <gghosh_at_.....>
Date: Mon Sep 10 2007 - 00:42:08 PDT
Hi,
    Cosider the following testcase

    /module top( output out1,input in1);
    endmodule

    module mid(output X,input Y);
    endmodule

    module root(output a, input b);
        top  I(a,b);
    endmodule

    bind    top  mid mid_inst(out1,in1);
    bind    mid  top top_inst(X,Y);
  

/Here a cyclic dependancy has been created through bind.
Can the target scope of a bind depend on another bind declaration? There 
is no clear comment on this context in the LRM.
Different simulator behaves differntly.

Any expert comments.


Regards
Goutam Ghosh




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Sep 10 00:46:42 2007

This archive was generated by hypermail 2.1.8 : Mon Sep 10 2007 - 00:48:13 PDT