Re: [sv-bc] module instantiations inside interfaces

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Sun Sep 09 2007 - 09:42:59 PDT
Following up to

    http://www.eda.org/sv-bc/hm/3473.html
    http://www.eda.org/sv-bc/hm/4430.html
    http://www.eda.org/sv-bc/hm/5531.html

I have uploaded a proposal for Mantis 902 and attached a copy of it to
this e-mail.

-- Brad


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.


Received on Sun Sep 9 09:43:47 2007

This archive was generated by hypermail 2.1.8 : Sun Sep 09 2007 - 09:44:27 PDT