[sv-bc] module instantiations inside interfaces

From: Feldman, Yulik <yulik.feldman_at_.....>
Date: Wed Nov 30 2005 - 05:23:22 PST
Hi,

 

I'm curious why it is illegal in SV to instantiate modules inside
interfaces? What is the logic behind having the limitation of not
allowing module instantiations inside interfaces, while allowing
arbitrary module items inside the interfaces? One can see module
declarations as artificial blocks encapsulating the logic inside the
declarations. So why one can put that logic directly into interfaces,
but can not do that while encapsulating it in an instance of a module?

 

Thanks in advance,

            Yulik.
Received on Wed Nov 30 05:23:29 2005

This archive was generated by hypermail 2.1.8 : Wed Nov 30 2005 - 05:24:11 PST