RE: [sv-bc] Proposal for 1134: Localparam in parameter_port_list

From: Jonathan Bromley <jonathan.bromley_at_.....>
Date: Sun Jun 10 2007 - 03:29:20 PDT
Brad,

thanks for the sanity check - I was quite diffident that 
what I was saying was correct!

If the inability to specify unpacked array type parameter
assignment was felt to be a problem, you could consider using
"typedef" as an alternative syntax for type parameter 
assignment.  Observe that

   localparam type param_name = old_type;

is essentially identical to

   typedef old_type param_name;

So why not permit the "typedef" form as a synonym
for the type parameter assignment?  And then it would
be possible to do

  parameter typedef old_type [packed:dim] param_name [unpacked_dim];

-- 
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223                   Email: jonathan.bromley@doulos.com
Fax: +44 (0)1425 471573                           Web: http://www.doulos.com

The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Sun Jun 10 03:30:12 2007

This archive was generated by hypermail 2.1.8 : Sun Jun 10 2007 - 03:30:42 PDT