[sv-bc] modport_identifier in an assignment

From: Rich, Dave <Dave_Rich_at_.....>
Date: Tue Apr 24 2007 - 09:31:16 PDT
I've been looking through the BNF and can't find anywhere the BNF allows
you to put . modport_identifier next to an interface instance on the RHS
of a assignment. The RHS could be either an actual argument in an
argument/port list or in the assignment to a virtual interface variable.

 

For virtual  interfaces, there's no reason to add this capability other
than for redundancy, since in order to select a modport, the target must
have been declared with the specific modport_identifier. There's no BNF
to support the example in 20.8 (draft1) where there is a
modport_identifer in the assignment:

 

v32_phy = p32.phy;

 

For interface port connections, we have this feature where you can
specify the modport_identifier in the actual port when there is no
modport declared in the formal port. (See the example in 20.4.3) But I
can't see any BNF to support this syntax:

 

memMod mem(sb_intf.slave); // Connect the modport to the module instance

cpuMod cpu(sb_intf.master);

 

 

 I'd be happy to remove the feature.

 

Dave

 

 

David Rich
Verification Technologist
Design Verification & Test Division
Mentor Graphics Corporation
dave_rich@mentor.com
Office:   408 487-7206
Cell:     510 589-2625

 


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Apr 24 09:32:20 2007

This archive was generated by hypermail 2.1.8 : Tue Apr 24 2007 - 09:33:45 PDT