RE: [sv-bc] When are constant expressions evaluated?

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Mon Feb 12 2007 - 07:09:43 PST
That might not be in and of itself a problem, but I now realize that
even if the parameter values (and types) were evaluated and checked at
the compilation stage, that would not help, because they would still
need to be re-evaluated and checked at elaboration time, after all
overrides and propagations, so there is no point in checking them
earlier, it would just be a duplication of effort. So in Brad's immortal
words, "That makes sense," that there is no significance to the
parameter default type and value until elaboration time.

> The default value of a parameter is itself a constant expression, so
> cannot in general be evaluated until elaboration time, because it may
> depend on the actual instantiated values of other parameters.

Shalom

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Feb 12 07:11:42 2007

This archive was generated by hypermail 2.1.8 : Mon Feb 12 2007 - 07:12:47 PST