Re: [sv-bc] When are constant expressions evaluated?

From: Geoffrey.Coram <Geoffrey.Coram_at_.....>
Date: Mon Feb 12 2007 - 03:47:35 PST
"Bresticker, Shalom" wrote:
> 
> Is there any significance to the legality of a default value
> of the parameter, or only to the actual instantiated values?

I think there was someone using Verilog-AMS who wanted to make
a parameter mandatory (ie, you must specify it) and thus gave
an illegal default.  However, in this case, he was using the
"ranges" allowed in AMS paramter declarations:

parameter real myparm = -1 from (0:inf);

where (0:inf) means that only positive values are allowed.

-Geoffrey

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Feb 12 03:48:10 2007

This archive was generated by hypermail 2.1.8 : Mon Feb 12 2007 - 03:48:46 PST