[sv-bc] $unit::m or $root.m in module instance?

From: Paul Graham <pgraham_at_.....>
Date: Wed Oct 11 2006 - 18:03:39 PDT
It might be useful, in the presence of nested modules, to
specify a module name in a module instantiation using $unit
or $root:

    module top();
        $unit::m1 u1();
	$root.m2 u2();
    endmodule

The lrm says that the module name in a module_instantiation
has to be an identifier.  This rules out using $unit::<id>
and $root.<id> as module names.  I wonder if this
restriction is intentional.

Paul
Received on Wed Oct 11 18:03:41 2006

This archive was generated by hypermail 2.1.8 : Wed Oct 11 2006 - 18:03:51 PDT