[sv-bc] Resolving a forward typedef via a package import

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Thu Sep 28 2006 - 14:10:31 PDT
According to the final sentence of 4.9,

   "Importing a typedef from a package into a local scope can also
    resolve a type definition."

It would be helpful to add an example of that, such as,

    package pkg1;
      typedef struct {logic a, b;} ab;
    endpackage

    package pkg2;
      import pkg1::*;
      typedef ab;
    endpackage

    module test(input a1, b1, output a2, b2);
      import pkg2::*;
      assign '{a2, b2} = ab'{a1, b1};
    endmodule

-- Brad
Received on Thu Sep 28 14:10:45 2006

This archive was generated by hypermail 2.1.8 : Thu Sep 28 2006 - 14:10:59 PDT