Re: [sv-bc] 5.15.3 Array reduction methods - result type

From: Paul Graham <pgraham_at_.....>
Date: Tue Jun 27 2006 - 06:11:36 PDT
> Suppose I have an array of type bit. It would appear that sum() will
> give me a result of 1-bit width, which is not what I want. This is at
> the least going to surprise users and make them unhappy...

If you're familiar with the verilog rules for expression
sizing, it won't surprise you to find that adding two bits
gives you one bit!

But what else can you expect?  Even with an array of integers,
you might want the return value to be more than 32 bits wide
to accomodate the sum.

Paul
Received on Tue Jun 27 06:11:41 2006

This archive was generated by hypermail 2.1.8 : Tue Jun 27 2006 - 06:11:50 PDT