[sv-bc] 5.15.3 Array reduction methods - result type

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Jun 27 2006 - 01:39:50 PDT
  

5.15.3 says, "The method returns a single value of the same type as the
array element type."

Example: "sum() returns the sum of all the array elements or, if a with
clause is specified, returns the sum of the values yielded by evaluating
the expression for each array element."

Suppose I have an array of type bit. It would appear that sum() will
give me a result of 1-bit width, which is not what I want. This is at
the least going to surprise users and make them unhappy...

 

Shalom

 

 

Shalom Bresticker

Intel Jerusalem LAD DA

+972 2 589-6852

+972 54 721-1033

I don't represent Intel 

 



image001.gif
Received on Tue Jun 27 01:45:53 2006

This archive was generated by hypermail 2.1.8 : Tue Jun 27 2006 - 01:46:20 PDT