Re: [sv-bc] 6.3: Constant variables?

From: Clifford E. Cummings <cliffc_at_.....>
Date: Wed May 03 2006 - 17:10:08 PDT
Parameter question for the Verilog old-timers.

I seem to recall that about 10 years ago, Verilog-XL actually allowed 
one to change the value of a parameter on the fly during simulation 
(#10 change a parameter value). It was real odd behavior for 
something that was supposedly a semi-constant.

I just tried it with a couple of other simulators and it is no longer 
permitted. Point is, I thought parameters used to behave like strange 
variables.

Does anyone else remember this behavior or was I eating too many 
"Happy Meals" 10 years ago?  :-)

Regards - Cliff

At 01:42 AM 5/1/2006, you wrote:

>6.3 says, "Constants are named data variables that never change. 
>Verilog provides three constructs for defining elaboration-time 
>constants: the parameter, localparam and specparam declarations."
>
>This looks a little strange. I understand that in 6.3.5, one might 
>want to think of consts as a special type of variable, but it seems 
>strange to say that a parameter is a variable, even if one that 
>never changes. In what way is it a variable? Even its declaration 
>differs from that of variables.
>
>Shalom

----------------------------------------------------
Cliff Cummings - Sunburst Design, Inc.
14314 SW Allen Blvd., PMB 501, Beaverton, OR 97005
Phone: 503-641-8446 / FAX: 503-641-8486
cliffc@sunburst-design.com / www.sunburst-design.com
Expert Verilog, SystemVerilog, Synthesis and Verification Training
Received on Wed May 3 17:10:10 2006

This archive was generated by hypermail 2.1.8 : Wed May 03 2006 - 17:10:16 PDT