Re: [sv-bc] Referring into generate scope via interface port

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Mon Feb 27 2006 - 22:47:38 PST
 >     modport mp(input GEN.sig); 
 
I meant 

       modport mp(input GEN[5].sig); 

 or more generally

       modport mp(input GEN[I].sig); 

 -- Brad

 
Received on Mon Feb 27 22:47:48 2006

This archive was generated by hypermail 2.1.8 : Mon Feb 27 2006 - 22:48:30 PST