[sv-bc] question on triggering on sequence end point

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Thu Feb 09 2006 - 05:26:16 PST
Hi,
I'm not sure which group is the better address for this question.

10.10.1, Sequence events, has the example

sequence abc;
  @(posedge clk) a ##1 b ##1 c;
endsequence

program test;
  initial begin
    @ abc $display( "Saw a-b-c" );
    L1 : ...
  end
endprogram


10.11, Level-sensitive sequence controls, has a similar example, has a similar example:

sequence abc;
  @(posedge clk) a ##1 b ##1 c;
endsequence

sequence de;
  @(negedge clk) d ##[2:5] e;
endsequence
  
program check;
  initial begin
    wait( abc.triggered || de.triggered );
    if( abc.triggered )
      $display( "abc succeeded" );
    if( de.triggered )
      $display( "de succeeded" );
    L2 : ...
  end
endprogram

My question is whether there is a practical difference between "@ abc" and "wait (abc.triggered)".

Thanks,
Shalom


Shalom Bresticker
Intel Jerusalem LAD DA
+972 2 589-6852
+972 54 721-1033
I don't represent Intel 
Received on Thu Feb 9 05:26:33 2006

This archive was generated by hypermail 2.1.8 : Thu Feb 09 2006 - 05:27:32 PST