[sv-bc] structure literal example in standard

From: Krishanu Debnath <krishanu_at_.....>
Date: Thu Jan 05 2006 - 02:15:38 PST
Hello,

I am not sure about the correctness of the following example, taken from
Sec 3.8 _Structure literals_.

struct {int X,Y,Z;} XYZ = '{3{1}};
typedef struct {int a,b[4];} ab_t;
int a,b,c;
ab_t v1[1:0] [2:0];
v1 = '{2{'{3{a,'{2{b,c}}}}}};
/* expands to '{'{3{'{a,{2{b,c}}}}}, '{3{{a,'{2{b,c}}}}}} */
<rest snipped>

Here the array literal assign to v1 is incorrect. I think example
missed the "'" after struct literal. So it should be
'{2{'{3{'{a,'{2{b,c}}}}}}}. Similarly example missed the "'" in
second element of expansion.

Can anyone please confirm?

Thanks,
Krishanu
Received on Thu Jan 5 02:13:56 2006

This archive was generated by hypermail 2.1.8 : Thu Jan 05 2006 - 02:17:07 PST