[sv-bc] Unpacked subroutine arguments can't have default values?

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Sun Dec 18 2005 - 18:01:39 PST
According to 12.4.3, "To handle common cases or allow for unused
arguments, SystemVerilog allows a subroutine declaration to specify a
default value for each singular argument."

 

So, the following is not legal?

 

      typedef int T [255];

 

      function automatic foo ( T in1, in2 = '{default:0} ) ;

          foreach (foo[i]) foo[i] = in1[i] + in2[i];

      endfunction : foo

 

-- Brad

 

 

 

 

 

 
Received on Sun Dec 18 18:01:51 2005

This archive was generated by hypermail 2.1.8 : Sun Dec 18 2005 - 18:02:57 PST