RE: [sv-bc] Named blocks conflicts with existing identifiers

From: Feldman, Yulik <yulik.feldman_at_.....>
Date: Mon Dec 12 2005 - 11:11:09 PST
>Any "deferred" bindings in Verilog 2005 are treated in the same
>manner as hierarchical references and must have dotted names.
>Any undotted name can be resolved directly (other than the
>function/task/scope name issues that have already been noted).

[Yulik] I'm not sure how you can know whether you may resolve the given
identifier directly or you have to defer its resolution. Until you
resolve it, you don't know whether it is a function/task or other
"problematic" construct. Also, a non-hierarchical identifier
("undotted") may appear to be a cross-hierarchical reference, once you
resolve it. So, once again, it is not clear how one can decide whether
it will be OK to resolve the identifier before the hierarchy is
established.
Received on Mon Dec 12 11:12:15 2005

This archive was generated by hypermail 2.1.8 : Mon Dec 12 2005 - 11:12:43 PST