[sv-bc] Named blocks conflicts with existing identifiers

From: Feldman, Yulik <yulik.feldman_at_.....>
Date: Sun Dec 11 2005 - 04:45:57 PST
Hi, 

 

What do you think should be the behavior of the example below? To what
declaration the expression in red should be bound? It looks that it
should be bound to the named block inside and eventually result in an
error.

 

parameter IO_SECONDARY_RO_INSTANCES   = 1;

module ptpcioregs ();

genvar i;

generate

for (i = 0; i < IO_SECONDARY_RO_INSTANCES; i++) begin :
IO_SECONDARY_RO_INSTANCES

end

endgenerate

endmodule

 

Can somebody confirm that, please? Shalom told me that he remembers
something similar that was discussed on sv-bc, but he is unsure about
the outcome of that discussion.

 

--Yulik.
Received on Sun Dec 11 04:46:54 2005

This archive was generated by hypermail 2.1.8 : Sun Dec 11 2005 - 04:48:33 PST