[sv-bc] Does importing a class type or class variable make the elements declared in the class also visible?

From: francoise martinolle <fm_at_.....>
Date: Fri Nov 18 2005 - 13:24:44 PST
Should an import of a class type or class variable declared in a package
make all members, methods and the constructor of that class
visible in the importing scope?
 
ex:
 
package p;
   class A;
       int m1;
       function new (input i);
       endfunction
 
       task t;
       endtask
 
   endclass
endpackage
 
module top;
 
  import p::A;
 
  A cp;
 
// Are the statements in the following initial block legal?
  initial begin
       cp = new;
       cp.t;
       cp.m1 = 1;
  end
 
endmodule
 
 
Is it illegal to redeclare a function new in the module scope while
importing the class A?
 
package p;
   class A;
       int m1;
       function new (input i);
       endfunction
 
       task t;
       endtask
 
   endclass
endpackage
 
module top;
 
  import p::A;
 
   function int new ;   
     return 0;    
   endfunction
 
 
  A cp;
 
  initial begin
       cp = new;
       cp.t;
       cp.m1 = 1;
  end
 
endmodule
Received on Fri Nov 18 13:24:59 2005

This archive was generated by hypermail 2.1.8 : Fri Nov 18 2005 - 13:27:16 PST