Re: [sv-bc] return type of $bits

From: Steven Sharp <sharp_at_.....>
Date: Wed Oct 05 2005 - 13:43:32 PDT
>From: "Brad Pierce" <Brad.Pierce@synopsys.com>

>Yes, we missed this in LRM-244
>
>   http://www.eda.org/sv-bc/hm/1594.html
>   http://www.eda.org/sv/Changes_draft5/LRM_Changes_23.html
>
>which specified that the return types of $left(), etc. are integer.

Hmm, $size has similarities to $bits in that it will always produce
a positive result, equal to a number of elements.  Since it is a
signed integer, that provides some precedent for $bits being a signed
integer also.

Steven Sharp
sharp@cadence.com
Received on Wed Oct 5 13:43:39 2005

This archive was generated by hypermail 2.1.8 : Wed Oct 05 2005 - 13:43:57 PDT