Re: [sv-bc] return type of $bits

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Wed Oct 05 2005 - 13:07:41 PDT
Yes, we missed this in LRM-244

   http://www.eda.org/sv-bc/hm/1594.html
   http://www.eda.org/sv/Changes_draft5/LRM_Changes_23.html

which specified that the return types of $left(), etc. are integer.

-- Brad

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Steven Sharp
Sent: Wednesday, October 05, 2005 11:32 AM
To: sv-bc@eda.org
Subject: [sv-bc] return type of $bits

What is the return type of the $bits system function?  The LRM doesn't
say.
I assume that it is either int or unsigned int.  Since it can never be
negative, it might make sense for it to be unsigned.  However, anyone
using -$bits might be surprised when it came out a large positive value
instead of the negative value they expected.

Steven Sharp
sharp@cadence.com
Received on Wed Oct 5 13:07:50 2005

This archive was generated by hypermail 2.1.8 : Wed Oct 05 2005 - 13:08:38 PDT