Re: [sv-bc] Parameter value assignment as context (8.13)

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Sun Sep 18 2005 - 08:59:32 PDT
I'd forgotten about still open ETF 487 (almost two years ago)

   http://boydtechinc.com/etf/archive/etf_2003/1997.html

but that must be the ultimate source of the example.  I'm OK with
your interpretation of "explicit type", but if there was consensus back
then, it's too bad the Verilog-2005 standard was not updated to
clarify the issue.

>This was discussed in ETF #487.

>But maybe you already know that, since you seem to have used the same
example >which appears there...

-- Brad
Received on Sun Sep 18 08:59:40 2005

This archive was generated by hypermail 2.1.8 : Sun Sep 18 2005 - 09:00:56 PDT