[sv-bc] Parameter value assignment as context (8.13)

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Fri Sep 16 2005 - 15:46:28 PDT
According to 8.13, a parameter value assignment for a parameter with an
explicit type declaration is an assignment-like context.  Does a range
count as an "explicit type declaration"?  I'd say no.  For example, in
the following module declaration, I'd say o2==o3, but o1!=o3.

`define E 4'b1101 << 15
module m (o1, o2, o3);
output [31:0] o1;
output [31:0] o2;
output [31:0] o3;
reg [31:0] o1;
reg [31:0] o2;
reg [31:0] o3;
localparam [31:0] P = `E;
localparam integer Q = `E;
initial begin
  o1 = P;
  o2 = Q;
  o3 = `E;
  $display("|%b|%b|%b|", o1, o2, o3);
end
endmodule

-- Brad
Received on Fri Sep 16 15:46:38 2005

This archive was generated by hypermail 2.1.8 : Fri Sep 16 2005 - 15:48:38 PDT